Search results

From VLSILab
Jump to navigationJump to search

Page title matches

  • [[File:Baris-Taskin.jpg|right|border|frame|[[Baris Taskin's quintessential professor's outdated photo 2005]]|25px]] Baris Taskin received the B.S. degree in electrical and electronics engineering from Mid
    3 KB (446 words) - 15:00, 5 January 2024

Page text matches

  • # Y. Teng and B. Taskin, "Rotary Traveling Wave Oscillator Frequency Division at Nanoscale Technolo # Y. Teng and B. Taskin, "Sparse-Rotary Oscillator Array (SROA) Design for Power and Skew Reduction
    3 KB (370 words) - 23:21, 18 December 2015
  • # Ankit More and Baris Taskin, "A Unified Design Methodology for a Hybrid Wireless 2-D NoC", to appear in # Vinayak Honkote, Ankit More and Baris Taskin, "3-D Parasitic Modeling for Rotary Interconnects", to appear in the ''Proc
    4 KB (555 words) - 23:21, 18 December 2015
  • # L. Filippini and B. Taskin, “123: A Tool For Charge Recovery Logic Synthesis,” (in preparation) IE # L. Filippini and B. Taskin, “The adiabatically driven strongarm comparator,” (accepted) IEEE Trans
    3 KB (477 words) - 17:22, 3 February 2019
  • [http://ece.drexel.edu/faculty/taskin/wiki/vlsilab/images/2/26/Jlu_cv_v5.pdf Jianchao Lu CV (Jan 2011)] # Jianchao Lu, Xiaomi Mao and Baris Taskin, "Integrated Clock Mesh Synthesis with Incremental Register Placement", ''I
    5 KB (675 words) - 22:15, 8 November 2012
  • # C. Sitik, W. Liu, B. Taskin and E. Salman, "Design Methodology for Voltage-Scaled Clock Distribution Ne # C. Sitik, E. Salman, L. Filippini, S. J. Yoon and B. Taskin, "FinFET-Based Low Swing Clocking", ''ACM Journal of Emerging Technologies
    5 KB (677 words) - 03:11, 7 March 2016
  • ...', Ibrahim Tekin, Isikcan Yilmaz, Yuqiao Liu, Kapil R. Dandekar, and Baris Taskin, "TSV Antennas for Multi-Band Wireless Communication", ''IEEE Journal on Em #Ankit More, '''Vasil Pano''', and Baris Taskin, "Vertical Arbitration-free 3D NoCs," ''IEEE Transactions on Computer-Aided
    5 KB (616 words) - 09:41, 10 May 2023
  • #Karthik Sangaiah, Michael Lui, Ragh Kuttappa, Baris Taskin, and Mark Hempstead, "SnackNoC: Processing in the Communication Layer", ''P #M. Lui, K. Sangaiah, M. Hempstead, and B. Taskin, "Towards Cross-Framework Workload Analysis via Flexible Event-Driven Inter
    4 KB (460 words) - 19:30, 30 December 2020
  • ...itional ASIC flow. Based on years of development and experience within Dr. Taskin's research group numerous products for rotary clocks are currently being de # Ragh Kuttappa, Longfei Wang, Selcuk Kose, and Baris Taskin, "Multiphase Digital Low-Dropout Regulators", ''IEEE Transactions on Very L
    8 KB (1,105 words) - 20:43, 20 January 2022
  • [[File:Baris-Taskin.jpg|right|border|frame|[[Baris Taskin's quintessential professor's outdated photo 2005]]|25px]] Baris Taskin received the B.S. degree in electrical and electronics engineering from Mid
    3 KB (446 words) - 15:00, 5 January 2024
  • Prof. Baris Taskin (PI, Drexel University) ...r, M. Riedel, M. Babaie, R. Balasubramonian, A. Sebastian, S. Pasricha, B. Taskin, A. Ganguly et al., "Interconnects for DNA, Quantum, In-Memory, and Optical
    8 KB (1,001 words) - 10:24, 20 October 2022
  • * Dr. Taskin is delivering the keynote "On-Chip Wireless Interconnect Paradigm" at [http * Dr. Taskin is the General Chair for ACM GLSVLSI 2019 in Tysons Corner, VA.
    11 KB (1,499 words) - 22:54, 2 March 2021
  • '''IoT Processor Team''' by Prof. Taskin ...(REU) Site (2010-2012) on "Computing for Power and Energy" directed by Dr. Taskin: [http://reu.ece.drexel.edu REU Site on Computing for Power and Energy: The
    5 KB (611 words) - 15:55, 27 February 2018
  • #M. Lui, K. Sangaiah, M. Hempstead, and B. Taskin, "Towards Cross-Framework Workload Analysis via Flexible Event-Driven Inter #K. Sangaiah, M. Lui, R. Jagtap, S. Diestelhorst, S. Nilakantan, A. More, B. Taskin, and M. Hempstead, "SynchroTrace: Synchronization-aware Architecture-agnost
    5 KB (584 words) - 16:04, 5 January 2021
  • #Ragh Kuttappa, Leo Filippini, Nicholas Sica and Baris Taskin, "Scalable Resonant Power Clock Generation for Adiabatic Logic Design," ''2
    1 KB (155 words) - 14:42, 15 March 2023
  • [[Baris Taskin| Dr. Baris Taskin]], ''Drexel University''
    4 KB (488 words) - 17:29, 22 September 2016
  • [[Baris Taskin| Dr. Baris Taskin]], ''Drexel University''
    4 KB (537 words) - 12:50, 26 August 2016
  • [[Baris Taskin| Dr. Baris Taskin]], ''Drexel University''
    4 KB (542 words) - 12:51, 26 August 2016
  • ...o Filippini, Junghoon Oh, Ragh Kuttappa, Scott Lerner, Miner Kaneko, Baris Taskin, "Design Automation for Charge Recovery Logic", ''Proceedings of the IEEE I #Nicholas Sica, Ragh Kuttappa, Vinayak Honkote, Baris Taskin, "High Speed Phase-Based Computing", ''Proceedings of the IEEE Internationa
    43 KB (5,776 words) - 11:33, 7 March 2024
  • # R. Kuttappa, B. Taskin, S. Lerner, and V. Pano, “Resonant Clock Synchronization with Active Sili # S. Lerner and B.Taskin, “Slew Merging Region Propagation for Bounded Slew and Skew Clock Tree Sy
    6 KB (792 words) - 10:09, 2 February 2021
  • [[Baris Taskin| Baris Taskin (Biography, CV, Contact)]]
    6 KB (830 words) - 13:38, 7 February 2023
View (previous 20 | ) (20 | 50 | 100 | 250 | 500)