Publications: Difference between revisions

From VLSILab
Jump to navigationJump to search
Line 1: Line 1:
== Conferences ==
== Conferences ==
#Yilmaz Gonul, Baris Taskin, "Multi-phase Coupled CMOS Ring Oscillator based Potts Machine", ''Proceedings of the IEEE International Conference on Computer Aided Design (ICCAD)'', November 2024.
#Yilmaz Gonul, Leo Filippini, Junghoon Oh, Ragh Kuttappa, Scott Lerner, Miner Kaneko, Baris Taskin, "Design Automation for Charge Recovery Logic", ''Proceedings of the IEEE International Symposium on Circuits and Systems (ISCAS)'', May 2024.
#Yilmaz Gonul, Leo Filippini, Junghoon Oh, Ragh Kuttappa, Scott Lerner, Miner Kaneko, Baris Taskin, "Design Automation for Charge Recovery Logic", ''Proceedings of the IEEE International Symposium on Circuits and Systems (ISCAS)'', May 2024.
#Nicholas Sica, Ragh Kuttappa, Vinayak Honkote, Baris Taskin, "High Speed Phase-Based Computing", ''Proceedings of the IEEE International Symposium on Circuits and Systems (ISCAS)'', May 2024.
#Nicholas Sica, Ragh Kuttappa, Vinayak Honkote, Baris Taskin, "High Speed Phase-Based Computing", ''Proceedings of the IEEE International Symposium on Circuits and Systems (ISCAS)'', May 2024.

Revision as of 16:28, 10 July 2024

Conferences

  1. Yilmaz Gonul, Baris Taskin, "Multi-phase Coupled CMOS Ring Oscillator based Potts Machine", Proceedings of the IEEE International Conference on Computer Aided Design (ICCAD), November 2024.
  2. Yilmaz Gonul, Leo Filippini, Junghoon Oh, Ragh Kuttappa, Scott Lerner, Miner Kaneko, Baris Taskin, "Design Automation for Charge Recovery Logic", Proceedings of the IEEE International Symposium on Circuits and Systems (ISCAS), May 2024.
  3. Nicholas Sica, Ragh Kuttappa, Vinayak Honkote, Baris Taskin, "High Speed Phase-Based Computing", Proceedings of the IEEE International Symposium on Circuits and Systems (ISCAS), May 2024.
  4. Ragh Kuttappa, Baris Taskin, Vinayak Honkote, Satish Yada, Jainaveen Sundaram, Dileep Kurian, Tanay Karnik, and Anuradha Srinivasan, "Resonant Rotary Clock Synchronization with Active and Passive Silicon Interposer", Proceedings of the IEEE International Symposium on Circuits and Systems (ISCAS), May 2022.
  5. Ragh Kuttappa and Baris Taskin, "A 0.45 pJ/Bit 20 Gb/s/Wire Parallel Die-to-Die Interface with Rotary Traveling Wave Oscillators", Proceedings of the IEEE International Symposium on Circuits and Systems (ISCAS), May 2022.
  6. Ragh Kuttappa, Leo Fiippini, Nicholas Sica and Baris Taskin, "Scalable Resonant Power Clock Generation for Adiabatic Logic Design", Proceedings of the IEEE International Symposium on VLSI (ISVLSI), July 2021, pp. 338--342. PAPER
  7. Ragh Kuttappa, Steven Khoa, Leo Filippini, Vasil Pano, and Baris Taskin, "Comprehensive Low Power Adiabatic Circuit Design with Resonant Power Clocking", Proceedings of the IEEE International Symposium on Circuits and Systems (ISCAS), May 2020. PAPER
  8. Ragh Kuttappa and Baris Taskin, "FinFET -- Based Low Swing Rotary Traveling Wave Oscillators", Proceedings of the IEEE International Symposium on Circuits and Systems (ISCAS), May 2020. PAPER
  9. Karthik Sangaiah, Michael Lui, Ragh Kuttappa, Baris Taskin, and Mark Hempstead, "SnackNoc: Processing in the Communication Layer", Proceedings of the IEEE International Symposium on High-Performance Computer Architecture (HPCA), February 2020. PAPER
  10. Vasil Pano, Ragh Kuttappa, and Baris Taskin, "3D NoCs with Active Interposer for Multi-Die Systems", Proceedings of the IEEE/ACM International Symposium on Networks-on-Chip (NOCS), October 2019. PAPER
  11. Ragh Kuttappa, Baris Taskin, Scott Lerner, Vasil Pano, and Ioannis Savidis, "Robust Low Power Clock Synchronization for Multi-Die Systems", Proceedings of the ACM/IEEE International Symposium on Low Power Electronics and Design (ISLPED), July 2019. PAPER
  12. Longfei Wang, Ragh Kuttappa, Baris Taskin, and Selcuk Kose, "Distributed Digital Low-Dropout Regulators with Phase Interleaving for On-Chip Voltage Noise Mitigation", Proceedings of the IEEE International Workshop on System Level Interconnect Prediction (SLIP), June 2019. PAPER
  13. Can Sitik, Weicheng Liu, Baris Taskin and Emre Salman, "Low Voltage Clock Tree Synthesis with Local Gate Clusters", Proceedings of the ACM Great Lakes Symposium on Very Large Scale Integration (GLSVLSI), May 2019. PAPER
  14. Vasil Pano, Ibrahim Tekin, Yuqiao Liu, Kapil R. Dandekar, and Baris Taskin, "In-Package Wireless Communication with TSV-based Antenna", IEEE International Symposium on Circuits and Systems Late Breaking News (ISCAS-LBN), May 2019. PAPER
  15. Ragh Kuttappa, Scott Lerner, Leo Filippini, and Baris Taskin, "Low Swing -- Low Frequency Rotary Traveling Wave Oscillators", Proceedings of the IEEE International Symposium on Circuits and Systems (ISCAS), May 2019. PAPER
  16. Scott Lerner and Baris Taskin, "Towards Design Decisions for Genetic Algorithms in Clock Tree Synthesis", Proceedings of the IEEE International Green and Sustainable Computing Conference (IGSC), October 2018.
  17. Oday Bshara, Yuqiao Liu, Ibrahim Tekin, Baris Taskin, and Kapil R. Dandekar, "mmWave Antenna Gain Switching to Mitigate Indoor Blockage", Proceedings of the IEEE International Symposium on Antennas and Propagation and USNC-URSI Radio Science Meeting (APS-URSI), July 2018. PAPER
  18. Vasil Pano, Scott Lerner, Isikcan Yilmaz, Michael Lui, and Baris Taskin, "Workload-Aware Routing (WAR) for Network-on-Chip Lifetime Improvement", Proceedings of the IEEE International Symposium on Circuits and Systems (ISCAS), May 2018. PAPER
  19. Scott Lerner, Vasil Pano, and Baris Taskin, "NoC Router Lifetime Improvement using Per-Port Router Utilization", Proceedings of the IEEE International Symposium on Circuits and Systems (ISCAS), May 2018. PAPER
  20. Ragh Kuttappa and Baris Taskin, "Low Frequency Rotary Traveling Wave Oscillators", Proceedings of the IEEE International Symposium on Circuits and Systems (ISCAS), May 2018, pp. 1--5. PAPER
  21. Leo Filippini and Baris Taskin, "A 900 MHz Charge Recovery Comparator with 40 fJ Per Conversion", Proceedings of the IEEE International Symposium on Circuits and Systems (ISCAS), May 2018. PAPER
  22. Michael Lui, Karthik Sangaiah, Mark Hempstead, and Baris Taskin, "Towards Cross-Framework Workload Analysis via Flexible Event-Driven Interfaces", IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS), April 2018. PAPER
  23. Leo Filippini, Lunal Khuon, and Baris Taskin, "Charge Recovery Implementation of an Analog Comparator: Initial Results", in Proceedings of the IEEE International Midwest Symposium on Circuits and Systems (MWSCAS), Aug. 2017, pp. 1505--1508. PAPER
  24. Vasil Pano, Yuqiao Liu, Isikcan Yilmaz, Ankit More, Baris Taskin and Kapil Dandekar, "Wireless NoCs using Directional and Substrate Propagation Antennas", Proceedings of the IEEE Computer Society Annual Symposium on VLSI (ISVLSI), July 2017, pp. 188--193. PAPER
  25. Scott Lerner and Baris Taskin, "WT-CTS: Incremental Delay Balancing Using Parallel Wiring Type For CTS", Proceedings of the IEEE Computer Society Annual Symposium on VLSI (ISVLSI), July 2017, pp. 465--470. PAPER
  26. Leo Filippini and Baris Taskin, "A Charge Recovery Logic System Bus", Proceedings of the IEEE International Workshop on System Level Interconnect Prediction (SLIP), June 2017. PAPER
  27. Scott Lerner, Eric Leggett and Baris Taskin, "Slew-Down: Analysis of Slew Relaxation for Low-Impact Clock Buffers", Proceedings of the IEEE International Workshop on System Level Interconnect Prediction (SLIP), June 2017. PAPER
  28. Ragh Kuttappa, Leo Filippini, Scott Lerner and Baris Taskin, "Stability of Rotary Traveling Wave Oscillators Under Process Variations and NBTI", Proceedings of the IEEE International Symposium on Circuits and Systems (ISCAS), May 2017, pp. 1--4. PAPER
  29. Ragh Kuttappa, Lunal Khuon, Bahram Nabet and Baris Taskin, "Reconfigurable Threshold Logic Gates using Optoelectronic Capacitors", Proceedings of the Design, Automation and Test in Europe (DATE), March 2017, pp. 614--617. PAPER
  30. Scott Lerner and Baris Taskin, "Workload-Aware ASIC Flow for Lifetime Improvement of Multi-core IoT Processors", Proceedings of the IEEE International Symposium on Quality Electronic Design (ISQED), March 2017, pp. 379--384. PAPER
  31. Leo Filippini, Diane Lim, Lunal Khuon and Baris Taskin, "Wireless Charge Recovery System for Implanted Electroencephalography Applications in Mice", Proceedings of the IEEE International Symposium on Quality Electronic Design (ISQED), March 2017, pp. 342--345. PAPER
  32. Vasil Pano, Isikcan Yilmaz, Ankit More and Baris Taskin, "Energy Aware Routing of Multi-Level Network-on-Chip Traffic", Proceedings of the IEEE International Conference on Computer Design (ICCD), October 2016, pp. 480--486. PAPER
  33. Vasil Pano, Isikcan Yilmaz, Yuqiao Liu, Baris Taskin and Kapil Dandekar, "Wireless Network-on-Chip Analysis of Propagation Technique for On-chip Communication", Proceedings of the IEEE International Conference on Computer Design (ICCD), October 2016, pp. 400--403. PAPER
  34. Leo Filippini and Baris Taskin, "Charge Recovery Logic for Thermal Harvesting Applications", Proceedings of the IEEE International Symposium on Circuits and Systems (ISCAS), May 2016, pp. 542--545. PAPER
  35. Weicheng Liu, Emre Salman, Can Sitik and Baris Taskin, "Exploiting Useful Skew in Gated Low Voltage Clock Trees for High Performance", Proceedings of the IEEE International Symposium on Circuits and Systems (ISCAS), May 2016, pp. 259--2598. PAPER
  36. Karthik Sangaiah, Mark Hempstead and Baris Taskin, "Uncore RPD: Rapid Design Space Exploration of the Uncore via Regression Modeling", Proceedings of IEEE/ACM International Conference on Computer-Aided Design (ICCAD), November 2015, pp. 365--372. PAPER
  37. Leo Filippini, Emre Salman, Baris Taskin, "A Wirelessly Powered System with Charge Recovery Logic", Proceedings of the IEEE International Conference on Computer Design (ICCD), October 2015, pp. 505--510. PAPER
  38. Weicheng Liu, Emre Salman, Can Sitik, Baris Taskin, Savithri Sundareswaran and Benjamin Huang, "Circuits and Algorithms to Facilitate Low Swing Clocking in Nanoscale Technologies", to appear in the Proceedings of Semiconductor Research Corporation (SRC) TECHCON, September 2015. PAPER
  39. Mallika Rathore, Emre Salman, Can Sitik and Baris Taskin, "A Novel Static D Flip-Flop Topology for Low Swing Clocking", Proceedings of ACM Great Lakes Symposium on VLSI (GLSVLSI), May 2015, pp. 301--306. PAPER
  40. Weicheng Liu, Emre Salman, Can Sitik and Baris Taskin, "Clock Skew Scheduling in the Presence of Heavily Gated Clock Networks", Proceedings of ACM Great Lakes Symposium on VLSI (GLSVLSI), May 2015, pp. 283--288. PAPER
  41. Weicheng Liu, Emre Salman, Can Sitik and Baris Taskin, "Enhanced Level Shifter for Multi-Voltage Operation", Proceedings of the IEEE International Symposium on Circuits and Systems (ISCAS), May 2015, pp.1442--1445. PAPER
  42. Yuqiao Liu, Vasil Pano, Damiano Patron, Kapil Dandekar and Baris Taskin, "Innovative Propagation Mechanism for Inter-chip and Intra-chip Communication", Proceedings of the IEEE Wireless and Microwave Technology Conference (WAMICON), April 2015, pp. 1--6. PAPER
  43. SynchroTrace new.jpg
    Siddharth Nilakantan, Karthik Sangaiah, Ankit More, Giordano Salvador, Baris Taskin, Mark Hempstead, ” SynchroTrace: Synchronization-aware Architecture-agnostic Traces for Light-Weight Multi-core Simulation”, Proceedings of the IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS 2015), March 2015, pp. 278--287. PAPER
  44. Giordano Salvador, Siddharth Nilakantan, Baris Taskin, Mark Hempstead and Ankit More, "Effects of Nondeterminism in Hardware and Software Simulation with Thread Mapping", Proceedings of the IEEE/ACM International Conference on VLSI Design (VLSID), January 2015, pp. 129--134. PAPER
  45. Siddharth Nilakantan, Scott Lerner, Mark Hempstead and Baris Taskin, "Can you trust your memory trace?: A comparison of memory traces from binary instrumentation and simulation", Proceedings of the IEEE/ACM International Conference on VLSI Design (VLSID), January 2015, pp. 135--140. PAPER
  46. Ying Teng and Baris Taskin, "Frequency-Centric Resonant Rotary Clock Distribution Network Design", Proceedings of the IEEE/ACM International Conference on Computer-Aided Design (ICCAD), November 2014, pp. 742--749. PAPER
  47. Can Sitik, Scott Lerner and Baris Taskin, "Timing Characterization of Clock Buffers for Clock Tree Synthesis", Proceedings of the IEEE International Conference on Computer Design (ICCD), October 2014, pp. 230--236. PAPER
  48. Giordano Salvador, Siddharth Nilakantan, Ankit More, Baris Taskin and Mark Hempstead "Static Thread Mapping for NoC CMPs via Binary Instrumentation Traces", Proceedings of the IEEE International Conference on Computer Design (ICCD), October 2014, pp. 517--520. PAPER
  49. Can Sitik, Leo Filippini, Emre Salman and Baris Taskin, "High Performance Low Swing Clock Tree Synthesis with Custom D Flip-Flop Design", Proceedings of the IEEE Computer Society Annual Symposium on VLSI (ISVLSI), July 2014, pp. 498--503. PAPER
  50. Julian Kemmerer and Baris Taskin, "Range-based Dynamic Routing of Hierarchical On Chip Network Traffic", Proceedings of the IEEE International Workshop on System Level Interconnect Prediction (SLIP)", June 2014, pp. 1-9. PAPER
  51. Ying Teng and Baris Taskin, "Resonant Frequency Divider Design Methodology for Dynamic Frequency Scaling", Proceedings of the IEEE International Conference on Computer Design (ICCD), October 2013, pp. 479--482. PAPER
  52. Can Sitik, Prawat Nagvajara and Baris Taskin, "A Microcontroller-Based Embedded System Design Course with PSoC3", Proceedings of the IEEE International Conference on Microelectronic Systems Education (MSE), June 2013, pp. 28--31. PAPER
  53. Can Sitik and Baris Taskin, "Multi-Corner Multi-Voltage Domain Clock Mesh Design", Proceedings of the ACM Great Lakes Symposium on VLSI (GLSVLSI), May 2013, pp. 209--214. PAPER
  54. Can Sitik and Baris Taskin, "Skew-Bounded Low Swing Clock Tree Optimization", Proceedings of the ACM Great Lakes Symposium on VLSI (GLSVLSI), May 2013, pp. 49--54. Best Paper Nominee. PAPER
  55. Ying Teng and Baris Taskin, "Rotary Traveling Wave Oscillator Frequency Division at Nanoscale Technologies", Proceedings of ACM Great Lakes Symposium on VLSI (GLSVLSI), May 2013, pp. 349--350. PAPER
  56. Can Sitik and Baris Taskin, "Implementation of Domain-Specific Clock Meshes for Multi-Voltage SoCs with IC Compiler", Proceedings of Synopsys User Group Conference Silicon Valley (SNUG), March 2013.
  57. Ying Teng and Baris Taskin, "Sparse-Rotary Oscillator Array (SROA) Design for Power and Skew Reduction", Proceedings of the Design, Automation and Test in Europe (DATE), March 2013, pp. 1229--1234. PAPER
  58. Jianchao Lu, Xiaomi Mao and Baris Taskin, "Clock Mesh Synthesis with Gated Local Trees and Activity Driven Register Clustering", Proceedings of the IEEE/ACM International Conference on Computer-Aided Design (ICCAD), November 2012, pp. 691--697. PAPER
  59. Matthew Guthaus and Baris Taskin, "High-Performance, Low-Power Resonant Clocking: Embedded tutorial", Proceedings of the IEEE/ACM International Conference on Computer-Aided Design (ICCAD), November 2012, pp. 742--745. PAPER
  60. Can Sitik and Baris Taskin, "Multi-Voltage Domain Clock Mesh Design", Proceedings of the IEEE International Conference on Computer Design (ICCD), October 2012, pp. 201--206. PAPER
  61. Ying Teng and Baris Taskin, "Clock Mesh Synthesis Method using Earth Mover's Distance under Transformations", Proceedings of the IEEE International Conference on Computer Design (ICCD), October 2012, pp. 121--126. PAPER
  62. Ying Teng and Baris Taskin, "Synchronization Scheme for Brick-Based Rotary Oscillator Arrays", Proceedings of the ACM Great Lakes Symposium on VLSI (GLSVLSI), May 2012, pp. 117--122. PAPER
  63. Ankit More and Baris Taskin, "A Unified Design Methodology for a Hybrid Wireless 2-D NoC", Proceedings of the IEEE International Symposium on Circuits and Systems (ISCAS), May 2012, pp. 640--643. PAPER
  64. Vinayak Honkote, Ankit More and Baris Taskin, "3-D Parasitic Modeling for Rotary Interconnects", Proceedings of the International Conference on VLSI Design (VLSID), January 2012, pp. 137--142. PAPER
  65. Ankit More and Baris Taskin, "EM and Circuit Co-simulation of a Reconfigurable Hybrid Wireless NoC on 2D ICs", Proceedings of the IEEE International Conference on Computer Design (ICCD), October 2011, pp. 19-24. PAPER
  66. Ying Teng, Jianchao Lu and Baris Taskin, "ROA-Brick Topology for Rotary Resonant Clocks", Proceedings of the IEEE International Conference on Computer Design (ICCD), October 2011, pp. 273--278. PAPER
  67. Ankit More and Baris Taskin, "Simulation Based Study of On-chip Antennas for a Reconfigurable Hybrid 2D Wireless NoC", Proceedings of the IEEE International Workshop on System Level Interconnect Prediction (SLIP), June 2011. PAPER
  68. Jianchao Lu and Baris Taskin, "From RTL to GDSII: An ASIC Design Course Development using Synopsys University Program", Proceedings of the IEEE International Conference on Microelectronic Systems Education (MSE), June 2011, pp. 72--75. PAPER
  69. Jianchao Lu, Yusuf Aksehir and Baris Taskin, "Register On MEsh (ROME): A Novel Approach for Clock Mesh Network Synthesis", Proceedings of the IEEE International Symposium on Circuits and Systems (ISCAS), May 2011, pp. 1219--1222. PAPER
  70. Jianchao Lu and Baris Taskin, "Reconfigurable Clock Polarity Assignment for Peak Current Reduction of Clock-gated Circuits", Proceedings of the IEEE International Symposium on Circuits and Systems (ISCAS), May 2011, pp 1940--1943. PAPER
  71. Ying Teng and Baris Taskin, "Process Variation Sensitivity of the Rotary Traveling Wave Oscillator", Proceedings of the IEEE International Symposium on Quality Electronic Design (ISQED), March 2011, pp. 236--242. PAPER
  72. Jianchao Lu, Xiaomi Mao and Baris Taskin, "Timing Slack Aware Incremental Register Placement with Non-uniform Grid Generation for Clock Mesh Synthesis", Proceedings of the ACM International Symposium on Physical Design (ISPD), March 2011, pp. 131--138. PAPER
  73. Jianchao Lu, Vinayak Honkote, Xin Chen and Baris Taskin, "Steiner Tree Based Rotary Clock Routing with Bounded Skew and Capacitive Load Balancing", Proceedings of the Design, Automation and Test in Europe (DATE), March 2011, pp. 455--460. PAPER
  74. Vinayak Honkote and Baris Taskin, "Skew-Aware Capacitive Load Balancing for Low-Power Zero Clock Skew Rotary Oscillatory Array", Proceedings of the IEEE International Conference on Computer Design (ICCD), October 2010, pp. 209--214. PAPER
  75. Ankit More and Baris Taskin, "Wireless Interconnects for Inter-tier Communication on 3-D ICs", Proceedings of the European Microwave Integrated Circuits Conference (EuMIC), September 2010, pp. 105--108. PAPER
  76. Ankit More and Baris Taskin, "Simulation Based Study of On-chip Antennas for a Reconfigurable Hybrid 3D Wireless NoC", Proceedings of the IEEE International SoC Conference (SOCC), September 2010, pp. 447--452. PAPER
  77. Ankit More and Baris Taskin, "Effect of EMI between Wireless Interconnects and Metal Interconnects on CMOS Digital Circuits", Proceedings of the Mediterranean Microwave Symposium (MMS), August 2010. PAPER
  78. Vinayak Honkote and Baris Taskin, "PEEC Based Parasitic Modeling for Power Analysis on Custom Rotary Rings", Proceedings of the ACM/IEEE International Symposium on Low Power Electronics and Design (ISLPED), August 2010, pp. 111--116. PAPER
  79. Ankit More and Baris Taskin, "Electromagnetic Compatibility of CMOS On-chip Antennas", Proceedings of the IEEE AP-S International Symposium on Antennas and Propagation, July 2010, pp. 1--4. PAPER
  80. Ankit More and Baris Taskin, "Simulation Based Feasibility Study of Wireless RF Interconnects for 3D ICs", Proceedings of the IEEE Computer Society Annual Symposium on VLSI (ISVLSI), July 2010, pp. 228-231. PAPER
  81. Jianchao Lu and Baris Taskin, "Clock Tree Synthesis with XOR Gates for Polarity Assignment", Proceedings of the IEEE Computer Society Annual Symposium on VLSI (ISVLSI), July 2010, pp.17-22. PAPER
  82. Vinayak Honkote and Baris Taskin, "Design Automation and Analysis of Resonant Rotary Clocking Technology", Proceedings of the IEEE Computer Society Annual Symposium on VLSI (ISVLSI), July 2010, pp. 471--472. PAPER
  83. Ankit More and Baris Taskin, "Simulation Based Study of Wireless RF Interconnects for Practical CMOS Implementation", Proceedings of the System Level Interconnect Prediction (SLIP), June 2010, pp. 35--41. PAPER
  84. Ankit More and Baris Taskin, "Electromagnetic Interaction of On-Chip Antennas and CMOS Metal Layers for Wireless IC Interconnects", Proceedings of the IEEE/ACM Great Lakes Symposium on VLSI Design (GLSVLSI), May 2010, pp. 413-416. PAPER
  85. Ankit More and Baris Taskin, "Leakage Current Analysis for Intra-Chip Wireless Interconnects", Proceedings of the IEEE International Symposium on Quality Electronic Design (ISQED), March 2010, pp. 49--53. PAPER
  86. Jianchao Lu and Baris Taskin, "Clock Buffer Polarity Assignment Considering Capacitive Load", Proceedings of the IEEE International Symposium on Quality Electronic Design (ISQED), March 2010, pp. 765--770. PAPER
  87. Vinayak Honkote and Baris Taskin, "Skew Analysis and Bounded Skew Constraint Methodology for Rotary Clocking Technology", Proceedings of the IEEE International Symposium on Quality Electronic Design (ISQED), March 2010, pp. 413--417. PAPER
  88. Vinayak Honkote and Baris Taskin, "Analysis, Design and Simulation of Capacitive Load Balanced Rotary Oscillatory Array", Proceedings of the International Conference on VLSI Design (VLSID), January 2010, pp. 218--223. PAPER
  89. Jianchao Lu and Baris Taskin, "Incremental Register Placement for Low Power CTS", Proceedings of the IEEE International SoC Design Conference (ISOCC), November 2009, pp. 232--236. PAPER
  90. Vinayak Honkote and Baris Taskin, "Skew Analysis and Design Methodologies for Improved Performance of Resonant Clocking", Proceedings of the IEEE International SoC Design Conference (ISOCC), November 2009, pp. 165--168. PAPER
  91. Jianchao Lu and Baris Taskin, "Post-CTS Clock Skew Scheduling with Limited Delay Buffering", Proceedings of the IEEE International Conference on Midwest Circuits and Systems (MWSCAS), August 2009, pp. 224--227. PAPER
  92. Vinayak Honkote and Baris Taskin, "Design Automation Scheme for Wirelength Analysis of Resonant Clocking Technologies", Proceedings of the IEEE International Conference on Midwest Circuits and Systems (MWSCAS), August 2009, pp. 1147--1150. PAPER
  93. Vinayak Honkote and Baris Taskin, "Capacitive Load Balancing for Mobius Implementation of Standing Wave Oscillator", Proceedings of the IEEE International Conference on Midwest Circuits and Systems (MWSCAS), August 2009, pp. 232--235. PAPER
  94. Vinayak Honkote and Baris Taskin, "Zero Clock Skew Synchronization with Rotary Clocking Technology", Proceedings of the IEEE International Symposium on Quality Electronic Design (ISQED), March 2009, pp. 588--593. PAPER
  95. Vinayak Honkote and Baris Taskin, "Custom Rotary Clock Router", Proceedings of the IEEE International Conference on Computer Design (ICCD), October 2008, pp. 114--119. PAPER
  96. Baris Taskin and Jianchao Lu, "Post-CTS Delay Insertion to Fix Timing Violations", Proceedings of the IEEE International Conference on Midwest Circuits and Systems (MWSCAS), August 2008, pp. 81--84. PAPER
  97. Shannon Kurtas and Baris Taskin, "Statistical Timing Analysis of Nonzero Clock Skew Circuits", Proceedings of the IEEE International Conference on Midwest Circuits and Systems (MWSCAS), August 2008, pp. 605--608 Best student paper award nominee. PAPER
  98. Vinayak Honkote and Baris Taskin, "Maze Router Based Scheme for Rotary Clock Router", Proceedings of the IEEE International Conference on Midwest Circuits and Systems (MWSCAS), August 2008, pp. 442--445. PAPER
  99. Baris Taskin, Andy Chiu, Jonathan Salkind, Dan Venutolo, "A Shift-Register Based QCA Memory Architecture", Proceedings of the IEEE/ACM International Symposium on Nanoscale Architectures (NANOARCH), October 2007, pp. 54--61. PAPER
  100. Prawat Nagvajara and Baris Taskin, "Design-for-Debug: A Vital Aspect in Education", Proceedings of the International Conference on Microelectronic Systems Education (MSE), June 2007, pp. 65--66. PAPER
  101. Baris Taskin and Ivan S. Kourtev, "A Timing Optimization Method Based on Clock Skew Scheduling and Partitioning in a Parallel Computing Environment", Proceedings of the IEEE International Midwest Symposium on Circuits and Systems (MWSCAS), August 2006, pp. 486--490. PAPER
  102. Baris Taskin, John Wood and Ivan S. Kourtev, "Timing-Driven Physical Design for VLSI Circuits Using Resonant Rotary Clocking", Proceedings of the IEEE International Midwest Symposium on Circuits and Systems (MWSCAS), August 2006, pp. 261--265. PAPER
  103. Baris Taskin and Bo Hong, "Dual-Phase Line-Based QCA Memory Design", Proceedings of the IEEE Conference on Nanotechnology (IEEE NANO), July 2006, pp. 302--305. PAPER
  104. Baris Taskin and Ivan S. Kourtev, "Delay Insertion Method in Clock Skew Scheduling", Proceedings of the ACM International Symposium on Physical Design (ISPD), Apr. 2005, pp. 47--54. PAPER
  105. Baris Taskin and Ivan S. Kourtev, "Performance Improvement of Edge-Triggered Sequential Circuits", Proceedings of the IEEE International Conference on Electronics, Circuits and Systems (ICECS), December 2004, pp. 607--610. PAPER
  106. Baris Taskin and Ivan S. Kourtev, "Advanced Timing of Level-Sensitive Sequential Circuits", Proceedings of the IEEE International Conference on Electronics, Circuits and Systems (ICECS), December 2004, pp. 603--606. PAPER
  107. Baris Taskin and Ivan S. Kourtev, "Time Borrowing and Clock Skew Scheduling Effects on Multi-Phase Level-Sensitive Circuits", Proceedings of the IEEE International Symposium on Circuits and Systems (ISCAS), May 2004, Vol. 2, pp. II-617--620. PAPER
  108. Baris Taskin and Ivan S. Kourtev, "Performance Optimization of Single-Phase Level-Sensitive Circuits Using Time Borrowing and Non-Zero Clock Skew", Proceedings of the ACM/IEEE International Workshop on Timing Issues in the Specification and Synthesis of Digital Systems (TAU), December 2002, pp. 111--117. PAPER
  109. Baris Taskin and Ivan S. Kourtev, "Linear Timing Analysis of SOC Synchronous Circuits with Level-Sensitive Latches", Proceedings of the IEEE International ASIC/SOC Conference, September 2002, pp. 358--362. PAPER

Journals

  1. A. Ganguly, S. Abadal, I. Thakkar, N. E. Jerger, M. Riedel, M. Babaie, R. Balasubramonian, A. Sebastian, S. Pasricha, B. Taskin, A. Ganguly et al., "Interconnects for DNA, Quantum, In-Memory, and Optical Computing: Insights From a Panel Discussion," IEEE Micro, vol. 42, no. 3, pp. 40-49, 1 May-June 2022, doi: 10.1109/MM.2022.3150684.
  2. Ragh Kuttappa, Longfei Wang, Selcuk Kose, and Baris Taskin, "Multiphase Digital Low-Dropout Regulators", IEEE Transactions on Very Large Scale Integration (VLSI) Systems (TVLSI), Vol. 30, No. 1, pp. 40--50, January 2022. PAPER
  3. Ragh Kuttappa, Baris Taskin, Scott Lerner, and Vasil Pano, "Resonant Clock Synchronization with Active Silicon Interposer for Multi-Die Systems", IEEE Transactions on Circuits and Systems I: Regular Papers (TCAS-I), Vol. 68, No. 4, pp. 1636--1645, April 2021. PAPER
  4. Vasil Pano, Ibrahim Tekin, Isikcan Yilmaz, Yuqiao Liu, Kapil R. Dandekar, and Baris Taskin, "TSV Antennas for Multi-Band Wireless Communication", IEEE Journal on Emerging and Selected Topics in Circuits and Systems (JETCAS), March 2020. PRE-PRINT
  5. Vasil Pano, Ibrahim Tekin, Yuqiao Liu, Kapil R. Dandekar, and Baris Taskin, "TSV-based Antenna for On-Chip Wireless Communication", IET Microwaves, Antennas & Propagation (MAP), December 2019. PRE-PRINT
  6. Ragh Kuttappa, Selcuk Kose, and Baris Taskin, "FOPAC: Flexible On-Chip Power and Clock", IEEE Transactions on Circuits and Systems I: Regular Papers (TCAS-I), Vol. 66, No. 12, pp. 4628--4636, December 2019. PAPER
  7. Yuqiao Liu, Oday Bshara, Ibrahim Tekin, Christopher Israel, Ahmad Hoorfar, Baris Taskin, and Kapil Dandekar, "Design and Fabrication of a Two-Port Three-Beam Switched Beam Antenna Array for 60 GHz Communication", IET Microwaves, Antennas & Propagation, Vol. 13, No. 9, pp. 1438--1442, July 2019. PAPER
  8. Leo Filippini and Baris Taskin, "The adiabatically driven strongarm comparator", IEEE Transactions on Circuits and Systems II: Express Briefs (TCAS-II), Vol.66, No. 12, pp. 1957--1961, December 2019. PAPER
  9. Ragh Kuttappa, Adarsha Balaji, Vasil Pano, Baris Taskin, and Hamid Mahmoodi, "RotaSYN: Rotary Traveling Wave Oscillator SYNthesizer", IEEE Transactions on Circuits and Systems I: Regular Papers (TCAS-I), Vol. 66, No. 7, pp. 2685--2698, July 2019. PAPER
  10. Weicheng Liu, Can Sitik, Savithri Sundareswaran, Benjamin Huang, Emre Salman and Baris Taskin, "SLECTS: Slew-Driven Clock Tree Synthesis", IEEE Transactions on Very Large Scale Integration (VLSI) Systems (TVLSI), Vol. 27, No.4, pp.864--874, April 2019. PAPER
  11. Scott Lerner, Isikcan Yilmaz, and Baris Taskin, "Custard: ASIC Workload-Aware Reliable Design for Multi-core IoT Processors", IEEE Transactions on Very Large Scale Integration (VLSI) Systems (TVLSI), vol. 27, No. 3, pp. 700-710, March 2019. PAPER
  12. Scott Lerner and Baris Taskin, "Slew Merging Region Propagation for Bounded Slew and Skew Clock Tree Synthesis", IEEE Transactions on Very Large Scale Integration (VLSI) Systems (TVLSI), Vol. 27, No. 1, pp. 1-10, January 2019. PAPER
  13. Ankit More, Vasil Pano, and Baris Taskin, "Vertical Arbitration-free 3D NoCs", IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), Vol. 37, No. 9, pp. 1853--1866, September 2018. PAPER
  14. K. Sangaiah, M. Lui, R. Jagtap, S. Diestelhorst, S. Nilakantan, A. More, B. Taskin, and M. Hempstead, "SynchroTrace: Synchronization-aware Architecture-agnostic Traces for Light-Weight Multicore Simulation of CMP and HPC Workloads", ACM Transactions on Architecture and Code Optimization (TACO), Vol. 15, No. 1, Article 2, March 2018. PAPER
  15. Can Sitik, Weicheng Liu, Baris Taskin and Emre Salman, "Design Methodology for Voltage-Scaled Clock Distribution Networks", IEEE Transactions on Very Large Scale Integration (VLSI) Systems (TVLSI), Vol. 24, No. 10, pp. 3080--3093, October 2016. PAPER
  16. Ankit More and Baris Taskin, "Locality-Aware Network Utilization Balancing in NoCs", ACM Transactions on Design Automation of Electronic Systems (TODAES), Vol. 21, No. 1, Article 6, November 2015. PAPER
  17. Ying Teng and Baris Taskin, "ROA-Brick Topology for Low-Skew Rotary Resonant Clock Network Design", IEEE Transactions on Very Large Scale Integration (VLSI) Systems (TVLSI), Vol. 23, No. 11, pp. 2519--2530, November 2015. PAPER
  18. Can Sitik, Emre Salman, Leo Filippini, Sung Jun Yoon and Baris Taskin, "FinFET-Based Low Swing Clocking", ACM Journal of Emerging Technologies in Computing Systems (JETC), Vol. 12, No. 2, Article 13, August 2015. PAPER
  19. Can Sitik and Baris Taskin, "Iterative Skew Minimization for Low Swing Clocks", Elsevier Integration, The VLSI Journal, Vol. 47, No. 3, pp. 356--364, June 2014. PAPER
  20. Vinayak Honkote and Baris Taskin, "ZeROA: Zero Clock Skew Rotary Oscillatory Array", IEEE Transactions on Very Large Scale Integration (VLSI) Systems (TVLSI), Vol. 20, No. 8, pp. 1528--1532, August 2012. PAPER
  21. Jianchao Lu, Ying Teng and Baris Taskin, "A Reconfigur​able Clock Polarity Assignment Flow for Clock Gated Designs", IEEE Transactions on Very Large Scale Integration (VLSI) Systems (TVLSI), Vol. 20, No. 6, pp. 1002--1011, June 2012. PAPER
  22. Jianchao Lu, Xiaomi Mao and Baris Taskin, "Integrated Clock Mesh Synthesis with Incremental Register Placement", IEEE Transactions on Computer Aided Design of Integrated Circuits and Systems (TCAD), Vol. 31, No. 2, pp. 217--227, February 2012. PAPER
  23. Jianchao Lu and Baris Taskin, "Clock Buffer Polarity Assignment with Skew Tuning", ACM Transactions on Design Automation of Electronic Systems (TODAES), Vol. 16, No. 4, Article 49, October 2011. PAPER
  24. Vinayak Honkote and Baris Taskin, "CROA: Design and Analysis of Custom Rotary Oscillatory Array", IEEE Transactions on Very Large Scale Integration (VLSI) Systems (TVLSI), Vol. 19, No. 10, pp. 1837--1847, October 2011. PAPER
  25. Shannon M. Kurtas and Baris Taskin, "Statistical Timing Analysis of the Clock Period Improvement through Clock Skew Scheduling", International Journal of Circuits, Systems and Computers (JCSC), Vol. 20, No. 5, pp. 881--898, 2011. PAPER
  26. Kyle Yencha, Matthew Zofchak, Daniel Oakum, Gerre Strait, Baris Taskin, Bahram Nabet, "Design of an Addressable Internetworked Microscale Sensor", Special Issue: Journal of Selected Areas in Microelectronics (JSAM), December 2010, ISSN: 1925-2676. PAPER
  27. JOLPEDec10 small.jpg
    Ying Teng and Baris Taskin, "Look-up Table Based Low Power Rotary Traveling Wave Design Considering the Skin Effect", Journal of Low Power Electronics (JOLPE), Vol. 6, No. 4, pp. 491--502, December 2010, Cover feature. PAPER
  28. Jianchao Lu and Baris Taskin, "Post-CTS Delay Insertion", Journal of VLSI Design, Volume 2010 (2010), Article ID 451809. PAPER
  29. Baris Taskin and Ivan S. Kourtev, "Multi-Phase Synchronization of Non-Zero Clock Skew Level-Sensitive Circuit", International Journal on Circuits, Systems and Computers (JCSC), Vol. 18, No. 5, pp. 899--908, July 2009. PAPER
  30. Baris Taskin, Joseph DeMaio, Owen Farell, Michael Hazeltine, Ryan Ketner, "Custom Topology Rotary Clock Router", ACM Transactions on Design Automation of Electronic Systems (TODAES), Vol. 14, No. 3, Article 44, May 2009. PAPER
  31. Baris Taskin, Andy Chiu, Joseph Salkind, Dan Venutolo, "A Shift-Register Based QCA Memory Architecture", ACM Journal on Emerging Technologies and Computation (JETC), Vol. 5, No. 1, Article 4, January 2009. PAPER
  32. Baris Taskin and Bo Hong, "Improving Line-Based QCA Memory Cell Design Through Dual-Phase Clocking", IEEE Transactions on Very Large Scale Integration (VLSI) Systems (TVLSI), Vol. 16, No. 12, pp. 1648--1656, December 2008. PAPER
  33. Baris Taskin and Ivan S. Kourtev, "Delay Insertion Method in Clock Skew Scheduling", IEEE Transactions on Computer Aided Design of Integrated Circuits and Systems (TCAD), Vol. 25, No. 4, pp. 651--663, April 2006. PAPER
  34. Baris Taskin and Ivan S. Kourtev, "Linearization of the Timing Analysis and Optimization of Level-Sensitive Digital Synchronous Circuits", IEEE Transactions on Very Large Scale Integration (VLSI) Systems (TVLSI), Vol. 12, No. 1, pp. 12--27, January 2004. PAPER

Books and Book Chapters

  1. Ivan S. Kourtev, Baris Taskin and Eby G. Friedman, Timing Optimization through Clock Skew Scheduling, Springer, 2009, ISBN-13: 978-0387710556.
  2. Baris Taskin, Ivan S. Kourtev and Eby G. Friedman, System Timing, Handbook of VLSI, 2nd edition, Editor: W. K. Chen, CRC Publishing, December 2006.



Thesis and Dissertations

  • Ragh Kuttappa, Ph.D. Dissertation: "Scalable and Shareable Resonant Rotary Clocks", 2021
  • Angela Wei, M.S. thesis, "Novel Wireless Non-Uniform Multi-Die Systems", 2021
  • Karthik Sangaiah, Ph.D. Dissertation: "Reimagining the Role of Network-on-Chip Resources Toward Improving Chip Multiprocessor Performance", 2020
  • Steven Khoa, M.S. Thesis, [Adiabatic Step Charging Power Clock Generator], 2020
  • Vinayak Honkote, Ph.D. Dissertation, Design Automation and Analysis of Resonant Clocking Technologies, 2010