Ragh Kuttappa: Difference between revisions

From VLSILab
Jump to navigationJump to search
Line 23: Line 23:


====Conferences====
====Conferences====
#Ragh Kuttappa, and Baris Taskin, "Low Frequency Rotary Traveling Wave Oscillators", ''Proceedings of the IEEE International Symposium on Circuits and Systems (ISCAS)'', May 2018 (to appear).
#Ragh Kuttappa, Leo Filippini, Scott Lerner and Baris Taskin, "Stability of Rotary Traveling Wave Oscillators Under Process Variations and NBTI", ''Proceedings of the IEEE International Symposium on Circuits and Systems (ISCAS)'', May 2017, pp. 1--4.
#Ragh Kuttappa, Leo Filippini, Scott Lerner and Baris Taskin, "Stability of Rotary Traveling Wave Oscillators Under Process Variations and NBTI", ''Proceedings of the IEEE International Symposium on Circuits and Systems (ISCAS)'', May 2017, pp. 1--4.
# Ragh Kuttappa, Lunal Khuon, Bahram Nabet and Baris Taskin, "Reconfigurable Threshold Logic Gates using Optoelectronic Capacitors", ''Proceedings of the Design, Automation and Test in Europe (DATE)'', March 2017, pp. 614--617.
# Ragh Kuttappa, Lunal Khuon, Bahram Nabet and Baris Taskin, "Reconfigurable Threshold Logic Gates using Optoelectronic Capacitors", ''Proceedings of the Design, Automation and Test in Europe (DATE)'', March 2017, pp. 614--617.

Revision as of 12:15, 24 January 2018

Education

Ph.D. in Electrical Engineering, ongoing

Drexel University, Philadelphia, PA, USA

M.S. in Electrical Engineering, 2015

San Francisco State University

Bachelor of Engineering, 2012

Visvesvaraya Technological University (VTU), Karnataka, India

Research Interests

  • Resonant clocking technologies
  • Nanoscale circuits and systems
  • Low-power design methodologies

Résumé

Publications

Journals

  1. Ragh Kuttappa, Houman Homayoun, Hassan Salmani and Hamid Mahmoodi, "Reliability Analysis of Spin Transfer Torque based Look up Tables under Process Variations and NBTI Aging," Elsevier Microelectronics Reliability Journal, Vol. 62, pp. 156--166, July 2016.

Conferences

  1. Ragh Kuttappa, and Baris Taskin, "Low Frequency Rotary Traveling Wave Oscillators", Proceedings of the IEEE International Symposium on Circuits and Systems (ISCAS), May 2018 (to appear).
  2. Ragh Kuttappa, Leo Filippini, Scott Lerner and Baris Taskin, "Stability of Rotary Traveling Wave Oscillators Under Process Variations and NBTI", Proceedings of the IEEE International Symposium on Circuits and Systems (ISCAS), May 2017, pp. 1--4.
  3. Ragh Kuttappa, Lunal Khuon, Bahram Nabet and Baris Taskin, "Reconfigurable Threshold Logic Gates using Optoelectronic Capacitors", Proceedings of the Design, Automation and Test in Europe (DATE), March 2017, pp. 614--617.
  4. Ragh Kuttappa, Houman Homayoun, Hassan Salmani and Hamid Mahmoodi, "Comparative Analysis of Robustness of Spin Transfer Torque based Look Up Tables under Process Variations,” Proceedings of the IEEE International Symposium on Circuits and Systems (ISCAS), May 2016, pp. 606--609.

Contact Information

Address:
3141 Chestnut Street
Drexel University
ECE Department
Philadelphia, PA 19104

Office: Bossone 405
Email: ragh@drexel.edu
Linkedin: ragh/linkedin