Ragh Kuttappa: Difference between revisions

From VLSILab
Jump to navigationJump to search
No edit summary
Line 19: Line 19:
Resonant clocking is a low power clock generation and distribution solution for modern ICs. The main research focus is the design and implementation of rotary clocks that is interoperable within the traditional ASIC flow. Based on years of development and experience within Dr. Taskin's research group numerous products for rotary clocks are currently being developed to address future needs for energy efficient computing. Rotary clocks ranging from MHz to GHz ranges for industrial designs are currently being designed and studied.
Resonant clocking is a low power clock generation and distribution solution for modern ICs. The main research focus is the design and implementation of rotary clocks that is interoperable within the traditional ASIC flow. Based on years of development and experience within Dr. Taskin's research group numerous products for rotary clocks are currently being developed to address future needs for energy efficient computing. Rotary clocks ranging from MHz to GHz ranges for industrial designs are currently being designed and studied.


''RotaSYN: Rotary Traveling Wave Oscillator SYNthesizer''
''RotaSYN: Rotary Traveling Wave Oscillator SYNthesizer''  
[[File:aes_core.png|400px|thumb|right|AES Core synthesized with RotaSYN]]
RotaSYN is a backend synthesis tool for rotary clocks. RotaSYN is demonstrated on publicly available designs and compared to traditionally clocked designs.


RotaSYN is a backend synthesis tool for rotary clocks. RotaSYN is demonstrated on publicly available designs and compared to traditionally clocked designs.
[[File:RotaSYN_Flow_ragh1.png|thumb|800px|center|RotaSYN Flow]]


[[File:RotaSYN_Flow_ragh1.png|800px|left]]
[[File:aes_core.png|400px|center]]


==Résumé==
==Résumé==

Revision as of 09:54, 19 February 2019

Ragh Kuttappa

Education

Ph.D. in Electrical Engineering, ongoing

Drexel University, Philadelphia, PA, USA

M.S. in Electrical Engineering, 2015

San Francisco State University

Bachelor of Engineering, 2012

Visvesvaraya Technological University (VTU), Karnataka, India

Research Interests

  • Resonant clocking technologies
  • Adiabatic circuits
  • Nanoscale circuits and systems
  • Low-power design methodologies

Resonant clocking technologies
Resonant clocking is a low power clock generation and distribution solution for modern ICs. The main research focus is the design and implementation of rotary clocks that is interoperable within the traditional ASIC flow. Based on years of development and experience within Dr. Taskin's research group numerous products for rotary clocks are currently being developed to address future needs for energy efficient computing. Rotary clocks ranging from MHz to GHz ranges for industrial designs are currently being designed and studied.

RotaSYN: Rotary Traveling Wave Oscillator SYNthesizer

AES Core synthesized with RotaSYN

RotaSYN is a backend synthesis tool for rotary clocks. RotaSYN is demonstrated on publicly available designs and compared to traditionally clocked designs.

RotaSYN Flow


Résumé

Ragh Kuttappa (April 2018)

Publications

Journals

  1. Ragh Kuttappa, Adarsha Balaji, Vasil Pano, Baris Taskin, and Hamid Mahmoodi, "RotaSYN: Rotary Traveling Wave Oscillator SYNthesizer", IEEE Transactions on Circuits and Systems I: Regular Papers (TCAS-I), accepted January 2019.
  2. Ragh Kuttappa, Houman Homayoun, Hassan Salmani and Hamid Mahmoodi, "Reliability Analysis of Spin Transfer Torque based Look up Tables under Process Variations and NBTI Aging," Elsevier Microelectronics Reliability Journal, Vol. 62, pp. 156--166, July 2016.

Conferences

  1. Ragh Kuttappa, Scott Lerner, Leo Filippini, and Baris Taskin, "Low Swing -- Low Frequency Rotary Traveling Wave Oscillators", Proceedings of the IEEE International Symposium on Circuits and Systems (ISCAS), May 2019.
  2. Ragh Kuttappa and Baris Taskin, "Low Frequency Rotary Traveling Wave Oscillators", Proceedings of the IEEE International Symposium on Circuits and Systems (ISCAS), May 2018.
  3. Ragh Kuttappa, Leo Filippini, Scott Lerner and Baris Taskin, "Stability of Rotary Traveling Wave Oscillators Under Process Variations and NBTI", Proceedings of the IEEE International Symposium on Circuits and Systems (ISCAS), May 2017, pp. 1--4.
  4. Ragh Kuttappa, Lunal Khuon, Bahram Nabet and Baris Taskin, "Reconfigurable Threshold Logic Gates using Optoelectronic Capacitors", Proceedings of the Design, Automation and Test in Europe (DATE), March 2017, pp. 614--617.
  5. Ragh Kuttappa, Houman Homayoun, Hassan Salmani and Hamid Mahmoodi, "Comparative Analysis of Robustness of Spin Transfer Torque based Look Up Tables under Process Variations,” Proceedings of the IEEE International Symposium on Circuits and Systems (ISCAS), May 2016, pp. 606--609.

Contact Information

Address:
3141 Chestnut Street
Drexel University
ECE Department
Philadelphia, PA 19104

Office: Bossone 405
Email: ragh@drexel.edu
Linkedin: ragh/linkedin